نمایش/عدم نمایش سایدبار
رفتن به بالای صفحه
أَللّهُمَّ ارْزُقْنی شَفاعَةَ الْحُسَیْنِ یَومَ الْوُرُودِ
مهدی دمیرچیلو

آموزش FPGA جلسه4 آموزش VHDL جلسه1

137

به نام خدا : آموزش FPGA جلسه4 آموزش VHDL جلسه1 : سلام خدمت دوستان ؛ تو این جلسه از آموزش fpga دو تا فیلم آموزش vhdl میخوام بزارم که توش عناوین مقابل رو توضیح میدم : ایجاد یک پروژه جدید،  نوشتن توضیحات، موجودیت(entity)، معماری(architecture)

آموزش FPGA جلسه4 آموزش VHDL جلسه1

1) ایجاد یک پروژه جدید
2) نوشتن توضیحات : توضیحاتی در پروژه معمولا مینویسیم تا به عنوان راهنما عمل کنن ؛ این ها تاثیری در عملکرد پروژه ندارند.

- - Comment here

3) موجودیت ( entity ) : محلی که ورودی خروجی های مدار درش تعریف میشوند….و کا کاری نداریم که مدارمون چه شکلی هستش…..از چه گیت هایی تشکیل شده ؛ اتصالاتشون چه طوریه و…. تنها کار entitiy اینه که ورودی خروجی های مدار رو تعریف کنه…..فقط همین…….این که داخل مدار رو توصیف کردن کار معماری یا همون architecture هستش.

entity <entity_name> is
     port (
     <port_name> : <mode> <type>;
     <other ports>...);
end <entity_name>;

4) معماری ( architecture ) : جایی که تعداد گیت ها و نحوه اتصال آنها به هم و… تعریف میشه.

architecture <architecture_name> of <entity_name> is
- - declarative_items (signal declarations, component declarations, etc.)
begin
- - architecture body
end <architecture_name>;

نکته : در زبان vhdl  فرقی بین حروف بزرگ و کوچک نیست….مثلا یه متغییر یا یه سیگنال یا یه…. چه با حروف بزرگ نوشته بشه و چه کوچیک با هم برابر اند و یه متغیر(سیگنال و…) حساب میشه و نه 2 تا…..بر خلاف زبون برنامه نویسی C که حروف بزرگ و کوچیک با هم فرق دارن.

فیلم آموزش VHDL 

7.3MB آموزش FPGA جلسه 4 قسمت 1
0%
00:00  /  06:32
16.8MB آموزش FPGA جلسه 4 قسمت 2
0%
00:00  /  15:38

 

اینم از کد های این 2 تا فیلم آموزش fpga

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity VHDL_MODULE is
Port ( a : in std_logic;
b : in std_logic;
c : out std_logic);
end VHDL_MODULE;

architecture Behavioral of VHDL_MODULE is
begin
c <= a AND b;
end Behavioral;

اینم یه عکس از فایل پروتئوس(که توی فیلم مشاهده میکنید)
آموزش FPGA

توجه : دوستان اگه قشنگ متوجه نمیشن ، اشکال نداره بگن تا مثال های بیشتری بزنم تا دوهزاریشون قشنگ بیوفته….البته جلسه 6 احتمالا چند تا مثال به دو روش سیگنال و انتساب ساده توضیح بدم….
دیگه نمیخوام چیز اضافه ای بگم فقط این که لطفا نظراتتون رو بگید…..اگه نظری در مورد بهتر شدن آموزش ها دارید بگید تا اعمال کنیم….
اگه مطلب مفید بود 3 تا صلوات واسه شادی روح شهدا بفرستید.
فعلا یا علی…

تعداد مطالب : 367 تا
جنگ ما فتح قدس را به همراه خواهد داشت. [ امام خمینی (ره) ]
بقیه جلسات : آموزش FPGA
ارسال دیدگاه
26
نظرات این مطلب بسته شده است.
  1. یونس غفارزاده

    مهمان

    یونس غفارزاده

    با سلام
    مهندس برای نوشتن برنامه بالا شمار و پایین شمار اعداد مثبت و منفی از چه روشی بهتر است استفاده کنیم من شمارنده را در fpga با زبان vhdl جدا جدا می نویسم یعنی تنها بالا شما ر یا پایین شما ر و جواب میگیرم ولی وقتی از برنامه یک جا استفاده میکنم تداخل ایجاد میشه و شمارش درست انجام نمیگیره از این شمارنده برای شمارش پالسهای انکودر می خواهم استفاده کنم

  2. اصغر گله

    مهمان

    اصغر گله

    قبل از اینکه یه فیلم پر کنید کلیه مطالب رو یک یا دوبار قشنگ انگار داری فیلم می گیری برا خودت توضیح بده تا بهم روون تر بگی و هم زمان فیلم ها کم باشه. ما الان توی تمام روستاها هم اینترنت ADSL داریم و ساعت ۲ به بعد هم یا رایگانه یا نیم بها پس با کیفیت کار فیلم بگیر.

  3. اصغر گله

    مهمان

    اصغر گله

    سلام
    برای جا افتادن فیلم یکم زمان میبره
    حتما اسم سایت رو توی فیلم ها بزنید تا سو استفاده نشه از فیلم ها مثلا اسم متغیر ها رو اسم سایت بنویسید اونم تو حود فیلم نه به صورت زیر نویس
    کیفیت فیلم ها پایینه و کیفیت صدا بده از میکروفن موبایل یا میکروفن لب تاپ استفاده کنید بهتره

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام – بعد چند سال دوباره این fpga رو شروع کردیم – خدا بخواد چیزی یاد بگیریم و تو سایت میزاریم حتما – برا بحث کیفیت هم خیالتون راحت – تو این چند ساله دیگه یاد گرفتیم چطور کار کنیم – قبلا بی تجربه بودیم laugh good

  4. دانشجو

    مهمان

    دانشجو

    سلام
    مطالبتون عالیه. خداخیرتون بده
    برای اتصال مانیتور به fpga هم راهنمایی می کنید؟فقط اینو میدونم که باید از پورت VGA استفاده کنم! نوشتن کد و ورودی دادن و ایجاد تست بنچ و … رو بلد نیستم!!!تا یک ماه دیگه هم باید پروژه م رو تحویل بدم
    با تشکر

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام والا من اطلاعات ندارم ولی یه کتابی بود تو بازار که توی اون فک کنم اینا رو گفته بود…اسم کتاب خازرم نیست ولی کتاب فارسی برا fpga همش ۳-۴ تا هستش توی بازار

  5. neda

    مهمان

    neda

    سلااااااااااااااااام.. اگر کسی بخواد در حدی کار کنه که بتونه وارد بازار کار بشه، چقدر وقت بذاره و اصلا چه جوری باید کار کرد؟ بجط این منابع شما چیا دیگه لازمه؟
    یه سوال دیگه هم داشتم: اگر بخوام در مورد IP coreها و اینکه کی از ساین مد و کی از آن ساین مد استفاده کنم، ارتباط اف پی جی ای با دی اس پی ها و Truncation این چیزا رو چه جوری میشه یاد گرفت؟ اگه ممکنه راهنماییم کنین..

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      اطلاع ندارم چون خودم دانشجو هستم و تو بازار کار نیستم

  6. علی

    مهمان

    علی

    آموزشتون خیلی مختصر هستش ! باید درباره یه مطلب آموزش بیشتری بدید و مثالهای متنوعی بزنید تا کاملا مطلب جا بیافته . اگه بعضی آموزش سایتهای خارجی رو ببینید که چقدر خوب و قابل فهم توضیح میدن …. متشکرم .

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      درسته….منم فیلماش رو گزاشتم ولی بازدیدش ۲ تا هست…. dash
      بگذریم….
      یعنی میگی متن باشه بهتره یا فیلم؟

  7. masoud

    نویسنده سایت

    masoud

    سلام
    خسته نباشی
    داداش کارت خیلی درسته
    من تازه امروز این سایتو پید کردم و سریع عضو شدم(کاری که معمولا نمی کنم)
    لطفا فیلم های آموزشی بیشتری بذار
    دست گلت درد نکنه

  8. مسعود ق ..

    مهمان

    مسعود ق ..

    باز هم سلام
    فعلا در مجتمع فنی تهران (پل حافظ ) دوره های FPGA و ARM را آموزش می بینم و برنامه ریزی کرده ام که بعد از ایام عید دنبال کار باشم تا سابقه ی فنی جمع کنم و وقتی که دوره های آموزشی را تمام کردم ، دنبال کار بر طبق همین دوره های گذرانده ام داشته باشم چون انشاءالله علاقه ی خاصی به دوره های رشته ی خودمان یعنی الکترونیک دارم .
    باتشکر از شما .

  9. مسعود ق ..

    مهمان

    مسعود ق ..

    سلام علیکم
    از شما دوست عزیز تشکر می کنم بابت زحماتتان و برایتان از خداوند متعال موفقیت خواستارم .
    انشاء الله امام زمان عج برایتان دعا کنند .
    همکاری با دوستانی مثل شما آرزوی قلبی ماست انشاءالله .
    دوست شما مسعود .

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      خواهش…
      خوشهال میشم که با هم همکاری کنیم….
      فقط شما در چه زمینه ای فعالیت دارید؟

  10. mohammad

    مهمان

    mohammad

    مرسی استاد laugh

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      bomb

  11. ali

    مهمان

    ali

    عالیه
    فقط خاهشا ادامه بدید و وسطاش ولش نکنید

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      برم خونه فایل هاش رو میزارم….
      یکی دو تا فک کنم از قبل فیلم هاش رو گرفتم

  12. مسعود

    مهمان

    مسعود

    داداشم راست میگه،طول میکشه تا فیلماتون جا بیفته مثل فیلمای مهندس اوکی نژاد! مهندس به خدا ما داریم استفاده میکنیم.

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      اینم حرفیه….
      باشه…..من یکی دوتا از فیل ها رو گرفتم ولی به دلیل…. نزاشتم
      ۲۳ ام فک کنم برم خونه….فیلم هاش رو میزارم تو سایت
      فعلا شما میتونید تا اون موقع از فایل های pdf ایی که براتون گزاشتم استفاده کنید.

  13. علی

    مهمان

    علی

    mibinan hame vali hosele nadaran nazar bedan.edame bede raho mohandes. good blush

  14. مسعود

    مهمان

    مسعود

    آموزش بعدی کیه آقای دمر چیلو؟ (از طرف بچه های برق نجف آباد)

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      والا من فیلم هاش رو جلو جلو هم ضبط کردم ولی وقتی میبینم ملت نه فیلم ها رو نگاه میکنن و نه نظری میدن……بیکارم فیلم بزارم dash

  15. مسعود

    مهمان

    مسعود

    agha eyval.kheyli kheyli khoob tozih midi.mardoone ta tahesh amoozesh bede khodayish

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      والا من سرفصل های فیلم رو هم درست کردم(همون طور که تو فیلم میبینید) ولی وقتی تعداد بازدید فیلم ها رو میبینم حالم گرفته میشه cry

  16. مهدی

    مهمان

    مهدی

    آها یادتون نره که مطلب رو جای دیگه هم آپلود کنید