نمایش/عدم نمایش سایدبار
رفتن به بالای صفحه
أَللّهُمَّ ارْزُقْنی شَفاعَةَ الْحُسَیْنِ یَومَ الْوُرُودِ
مهدی دمیرچیلو

آموزش FPGA جلسه3 پروژه با XC3S400

136

به نام خدا : آموزش FPGA جلسه3 پروژه با XC3S400 : تو این مطلب آموزش FPGA میخوام یه پروژه fpga با ۴ تا کلید داریم و ۴ تا LED که بازدن کلید ها LED متناظر باهش روشن میشه….ساده ترین پروژه fpga ایی هستش که میشه درست کرد به زبون vhdl….انشاالله در آینده پروژه های بهتری درست میکنیم….فیلم اینو ببینید….۲ جلسه قبلی همش داستان گفتم…اینو ببینید یکم روحیه بگیرید ^_^؛ زیاد توضیح نمیدم و خستتون نمیکنم، برید فیلم ته مطلب رو ببینید که آموزش 0 تا 100 رو توش گفتم.
آموزش FPGA جلسه3 پروژه با XC3S400

اینم از کد های پروژه FPGA

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity VHDL_MODULE is
     Port(LED:out std_logic_vector(3 downto 0);KEY:in std_logic_vector(3 downto 0));
end VHDL_MODULE;

architecture Behavioral of VHDL_MODULE is
begin
     LED <=KEY;
end Behavioral;

اینم از شماتیک پروژه
توجه : اینو تو پروتئوس کشیدم….فایل پروتئوس شبیه سازی نمیشه……فقط شماتیک رو تو پروتئوس کشیدم تا نحوه اتصالات رو ببینید و متوجه بشید….بعدا نگید چرا شبیه سازی پروژه اجرا نمیشه…..در ضمن در عکس زیر منظور از p100 یعنی پایه ۱۰۰ ام تراشه fpga و……..البته پایه ها رو میتونید تغییر بدید که در فیلم نحوه انتساب پایه ها رو میتونید ببینید…… و به جای این پایه های ؛ پایه های دلخواهتون رو بزارید.

پروژه fpga

اینم یه عکس از مدار که در عمل بستم (روی عکس کلیک کنید و در اندازه بزرگتر ببینیدش)
توجه۱ : پروگرامری که میخرید باید مثل عکس زیر باشه(سمت چپیه پروگرامر USB برا fpga هستش)
توجه۲ : در فیلم میبینید که اگه من برنامه رو پروگرام کنم برنامه کار میکنه ولی وقتی برق مدار رو لحظه ای قطع میکنم و دوباره وصل میکنم میبینید که دیگه پروژه کار نمیکنه علتش هم اینه که برنامه با قطع برق از بین میره و از حافظه تراشه پاک میشه…..که میتونید از حافظه جانبی استفاده کنید(در آینده انشا الله بهش میرسیم)
آموزش fpga

 

فیلم پروژه : اینم از فیلم پروژه که توش مختصرا اوضیحاتی دادم…..از ۰ تا صد ساخت پروژه رو توش گفتم…..

23MB آموزش FPGA جلسه 3 پروژه با XC3S400
0%
00:00  /  06:47

 

دانلود پروژه این مطلب

 

امیدوارم از این جلسه ( آموزش fpga جلسه3 _ هر چند که زیاد جالب نشد ) خوشتون اومده باشه؛ اگه خوشتون اومد یه 3 تا صلوات برا شادی روح شهدا بفرستید.

تعداد مطالب : 367 تا
جنگ ما فتح قدس را به همراه خواهد داشت. [ امام خمینی (ره) ]
بقیه جلسات : آموزش FPGA
ارسال دیدگاه
26
نظرات این مطلب بسته شده است.
  1. حمید

    مهمان

    حمید

    بازهم درود buba

  2. داریوش

    مهمان

    داریوش

    اقا مهدی شما عشقی

  3. amin

    مهمان

    amin

    دمت گرم مهندس ما هم اگه چیزی درست حالیمون بود قرار میدیم

  4. امین

    مهمان

    امین

    سلام توضیحات فیلم رو نمیخاین بزارین؟
    اگه بشه زیر توضیحا عکس اون قسمت رو هم از فیلم بزارین عالی میشه

  5. فاطمه

    مهمان

    فاطمه

    سلام آقای مهندس خدا قوت ممنون از مطالب مفید وارزشمندتتان .نمیدونم چرا این فایل فیلمی که گذاشتید برای من صدا نداره از و آپارات هم که دیدم همینطور بود

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      بجاش توضیحات قرار دادم داخل فیلم.

  6. ali

    مهمان

    ali

    اگر دخیره نمیشه دفعه اول که روشن میشه چه جوری میشه بدون پروگرامر با حافطه مثلا رام برنام لود کرد

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      والا آموزشش ببین تو نت هستش یا نه
      ولی بعضی برد ها خودشون حافظه دارن ولی یکی مثل این نه
      بعد ریست کردن اطلاعات میپره

  7. royall

    مهمان

    royall

    طرز استفاده از حافظه جانبی رو کی میگین؟
    برد هایی مه حافظه sd داره چطور برنامه رو حافظش میریزن
    ممنون

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      تو گوگل سرچ کن…

  8. royall

    مهمان

    royall

    ممنون پروگرامرش رو دارم قبلا کار کرده بودم فقط بردش مال کسی بود که باهاش پردازش تصویر کار میکردیم.
    اینو دیدم گفتم شاید شماتیکش داشته باشی طراحیش کنم واسه اموزش خوبه.ممنون

  9. royall

    مهمان

    royall

    اگه منظورت اینه برد FPGA XC3S50AN-4TQ144C که گفتین تست نشده بقیقه پست هام نبود

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      http://www.ne-ir.com/
      فقط همراش باید پروگرامر usb رو هم بخری…قیمت ۱۰۰ تومن…اگه کامپیوترت پورت پارال نداره….از این بزرگ ها مثل پرینتر…..

  10. royall

    مهمان

    royall

    منظورم همین برد قرمز توی تصویره میشه بگی کجا خریدی یا شماتیکش داری؟

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      گفتم که… wacko

  11. royal

    مهمان

    royal

    سلام
    ممنون بابت توضیحات خوبت دوست گلم
    این برد fpga رو کجا تهیه کردی قیمتش چنده؟ یا اینکه شماتیکش داری بزار خودمون طراحی کنیم
    سپاس

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      تو مطلب جلسه۱ یا ۲ فک کنم گفته باشم

  12. masoud

    نویسنده سایت

    masoud

    سلام
    آقا مهدی یه نظر دارم
    بنظرم بجای اینکه فیلم بگیری و بعد روش توضیح بدی (که میدونم کار سختی هستش)
    از هر مرحله کار عکس بگیر(با قابلیت print screen ویندوز)
    فکر کنم اینطوری بهتر باشه
    بازم دمت گرم
    سایت خوبی داری
    راستی سفارش پرژه fpga قبول میکن؟؟؟؟؟

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      خواهش
      فکر بدی نیست…
      فعلا نه….

  13. مسعود

    مهمان

    مسعود

    عالی مثل همیشه.حالا تو کف جلسه بعدی باید بمونیم باز search

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      تا جلسه ۶ فیلماش رو تو آپارات گزاشتم….مطلبش رو هم امروز فردا میزارم تو سایت

  14. رامین اصیلی

    نویسنده سایت

    رامین اصیلی

    سلام
    خیلی ممنون . خیلی عالی بود
    فقط یه مشکل : نمیخوای کد هاشو توضیح بدی ؟
    ]خه هیچی سر در نیاوردم متاسفانه smile

    مشاهده پاسخ ها (1)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام دادا
      میدونم….تو فیلم هم فک کنم این موضوع رو ذکر کردم.
      والا من فیلم های آموزش VHDL رو میگیرم ولی هر دفه یه مشکلی پیش میاد و ….
      اعصابم خورد شده بد جور….

  15. وحيد ندائي

    نویسنده سایت

    وحيد ندائي

    سلام
    خيلي خوب بود good yes
    اينكه برنامه دائم ذخيره نميشه تو همه مدل هاشون اينجوريه؟

    مشاهده پاسخ ها (2)
    • مهدی دمرچیلو

      نویسنده این مطلب

      مهدی دمرچیلو

      سلام
      نوچ

      • حسابدار

        مهمان

        حسابدار

        سلام وقت بخیر خدا قوت.. این برد رو که تو سایتتون هست از کدوم سایت و فروشگاه میتونم گیر بیارم ؟